[Vm-dev] [OpenSmalltalk/opensmalltalk-vm] 3709f7: initial commit